Исследование счетчиков импульсов

Лабораторная работа № 3

Исследование счетчиков импульсов

1 Цель работы

Изучение принципов построения счетчиков импульсов, способов организации цепей переноса. Изучение методики синтеза счетчиков с параллельным переносом. Экспериментальная проверка функционирования счетчика.

2 Общие сведения

Счетчиком импульсов называется конечный автомат с одним информационным входом, циклически переходящий из одного состояния в другое под действием входных импульсов, поступающих на этот вход. Счетчики импульсов могут иметь и дополнительные входы предварительной установки его в какое-либо исходное состояние, например вход асинхронного сброса R (Reset) и вход асинхронной параллельной загрузки кода. Счетчики строятся на триггерах. Простейшим счетчиком является Т-триггер, который при подаче двух импульсов на его вход T возвращается в исходное состояние. В общем случае счетчик состоит из m триггеров.

Состояние счетчика определяется двоичным кодом , зафиксированным на триггерах счетчика. Правила работы счетчика, т.е. порядок изменения кода Q при подаче каждого очередного входного импульса, задаются в виде таблицы или графа переключения счетчика. В зависимости от порядка переключения счетчики делятся на:

а) счетчики с естественным порядком счета. Каждый входной импульс изменяет код состояния счетчика Q на единицу. Они в свою очередь подразделяются в зависимости от направления счета на:

1) суммирующие, в которых каждый входной импульс увеличивает код Q на единицу;

2) вычитающие, в которых при каждом входном импульсе код Q уменьшается на единицу;

3) реверсивные, которые в зависимости от управляющих сигналов могут работать как суммирующий или как вычитающий счетчик;

б) счетчики с произвольным порядком счета, в которых при поступлении очередного входного импульса значение кода Q может изменяться более, чем на единицу.

По способу организации межразрядных переносов счетчики делятся на:

а) счетчики с последовательным переносом. В них i-й триггер счетчика переключается выходным сигналом (i-1)-го триггера. Переключение триггеров в новое состояние происходит последовательно, от младшего разряда к старшему;

б) счетчики с параллельным переносом. В них входной импульс воздействует на все триггеры счетчика одновременно. Кроме того, на триггеры подаются и дополнительные управляющие сигналы, формируемые в зависимости от текущего состояния счетчика, которые заставляют все триггеры счетчика одновременно переключиться в новое состояние;

в) счетчики с групповым переносом (или с параллельно-последовательным переносом). Счетчик состоит из нескольких групп триггеров, при этом в пределах группы реализуется параллельный перенос, а между группами - последовательный.

Основными параметрами счетчиков являются:

а) коэффициент счета (модуль счета) - количество входных импульсов, после прихода которых счетчик возвращается в исходное состояние. В зависимости от коэффициента счета счетчики делятся на:

1) двоичные - если ;

2) недвоичные - если (при этом часть состояний счетчика является избыточной и не используется);

3) десятичные - если (частный случай недвоичного счетчика);

б) время установки кода tУСТ - интервал времени между поступлением на вход счетчика очередного счетного импульса и моментом завершения перехода счетчика в новое состояние;

в) максимальная частота входных сигналов FMAX = 1 / tУСТ.

Двоичные счетчики с последовательным переносом основаны на последовательном соединении Т-триггеров, которые могут быть реализованы на D-триггерах или на JK-триггерах. Таблица состояний суммирующего и вычитающего счетчиков с коэффициентом счета, равным 8, приведены в табл, 3.1.

Таблица 3.1 - Таблица состояний суммирующего и вычитающего счетчиков

Номер

входного

Суммирующий счетчик

Вычитающий счетчик

импульса

q3

q2

q1

q3

q2

q1

исходное состояние

0

0

0

1

1

1

1

0

0

1

1

1

0

2

0

1

0

1

0

1

3

0

1

1

1

0

0

4

1

0

0

0

1

1

5

1

0

1

0

1

0

6

1

1

0

0

0

1

7

1

1

1

0

0

0

8

0

0

0

1

1

1

Символом ““ обозначены межразрядные переносы

Как видно из табл. 3.1, суммирующий и вычитающий двоичные счетчики отличаются только формированием сигнала переноса. Для суммирующего счетчика переключение триггера старшего разряда происходит при изменении состояния триггера младшего разряда из «1» в «0», а для вычитающего счетчика - при изменении состояния из «0» в «1». Суммирующий и вычитающий двоичные счетчики с последовательным переносом, схемы которых представлены на рис. 3.1, а, б, используют для переключения каждого триггера старшего разряда либо инверсный, либо прямой выход триггера младшего разряда.

а) суммирующий счетчик

б) вычитающий счетчик

Рисунок 3.1 - Двоичные счетчики с последовательным переносом

Частота переключений каждого последующего триггера в 2 раза меньше частоты переключений предыдущего. Весь счетчик представляет собой делитель частоты с коэффициентом деления, равным .

Если каждый триггер имеет время задержки , то время установления кода составит величину , поскольку все триггеры переключаются последовательно. При больших разрядностях m счетчики с последовательным переносом будут обладать большой величиной времени установления кода, что является их основным недостатком.

Счетчики с параллельным переносом бывают как двоичные, так и недвоичные, принципы их построения одинаковы. Чаще всего они реализуются на синхронных JK-триггерах, при этом входные импульсы подаются одновременно на входы синхронизации всех триггеров. Этим обеспечивается одновременное переключение всех триггеров счетчика. На информационные входы J и K триггеров подаются управляющие сигналы, которые формируются комбинационными схемами КС1 и КС2 (рис. 3.2) в соответствии с текущим состоянием счетчика. Эти сигналы обеспечивают установку счетчика в следующее состояние.


Рисунок 3.2 - Структурная схема двухразрядного

счетчика с параллельным переносом

Синтез счетчика с параллельным переносом сводится к синтезу комбинационных схем КС, которые и обеспечивают функционирование счетчика в соответствии с заданной таблицей переходов. Для синтеза счетчиков применяется следующая методика, которая иллюстрируется синтезом суммирующего счетчика с коэффициентом счета 5.

2.1. Определяют необходимое для реализации счетчика количество триггеров m (для примера m=3).

2.2. Составляют таблицу состояний счетчика, отражающую смену кодов состояния в зависимости от номера входного импульса (пример – табл. 3.2). В случае недвоичного счетчика чередования кодов состояний могут иметь произвольный вид.

Таблица 3.2 - Таблица состояний счетчика

Номер импульса i

Состояние Q(i)

q3 q2 q1

0 (исходное состояние)

0 0 0

1

0 0 1

2

0 1 0

3

0 1 1

4

1 0 0

5

0 0 0

2.3. На основе таблицы состояний счетчика составляют таблицы переходов для каждого триггера, имеющие вид карты Карно и отражающие переход триггера из предыдущего состояния q(i) в последующее q(i+1). Для этого в клетки карты, соответствующие номерам предыдущих состояний счетчика, вписывают 2-разрядные двоичные числа, выражающие переходы q(i)q(i+1) при изменении состояний счетчика. Если какое-либо состояние в счетчике не используется, в клетке ставится прочерк. Для примера карты переходов триггеров представлены на рис. 3.3.


Рисунок 3.3 - Карты переходов триггеров

2.4. Выбирают тип триггеров, на которых будет построен счетчик. Наиболее удобно использовать JK-триггеры, хотя могут использоваться и другие типы триггеров. Для каждого входа триггера (J1, K1, J2, K2, ... ) составляют карту входных сигналов в виде карты Карно. В ее клетках в соответствии с правилами функционирования триггера проставляют значения сигналов, которые необходимо подать на входы триггера для обеспечения его перехода, указанного в одноименной клетке карты переходов. Для JK-триггера, RS-триггеров (с прямыми и инверсными входами) и D-триггера правила функционирования задаются таблицей возбуждения триггера (табл. 3.3).

Таблица 3.3 - Таблица возбуждения триггера

Переход

JK-триггер

RS-триггер с прямыми входами

RS-триггер с инверсными входами

D-триггер

Вход J

Вход K

Вход S

Вход R

Вход S

Вход R

Вход D

0 0

0

0

1

0

0 1

1

1

0

0

1

1

1 0

1

0

1

1

0

0

1 1

0

0

1

1

- безразличное значение сигнала

Для рассматриваемого примера карты входных сигналов JK-триггеров приведены на рис. 3.4.

Рисунок 3.4 - Карты входных сигналов JK-триггеров

2.5. Получают аналитические выражения для функций возбуждения триггеров. Эти выражения, показывающие связи между входными и выходными сигналами всех триггеров, составляющих счетчик, удобно получать путем минимизации логических функций по картам входных сигналов триггеров. Для рассматриваемого примера минимизация логических функций показана на рис. 3.4. Функции возбуждения имеют вид:

2.6. Синтезируют схему счетчика, реализуя полученные функции возбуждения. Схема синтезированного счетчика для рассматриваемого примера приведена на рис. 3.5.

Рисунок 3.5 - Схема синтезированного счетчика

3 Программа работы

3.1. С помощью пакета моделирования электронных схем Multisim 10 реализовать схему 3-разрядного суммирующего двоичного счетчика с последовательным переносом на D-триггерах. Подать на вход счетчика сигнал с младшего разряда генератора слов. Частоту генерации слов подобрать таким образом, чтобы смена кодовых комбинаций происходила примерно 1 раз в секунду в реальном времени. К входу и выходам триггеров счетчика подключить компоненты индикации (пробники). Входной и выходные сигналы подать также на логический анализатор. Исследовать работоспособность счетчика. Составить таблицу переключений счетчика. Сохранить временные диаграммы для входного и выходных сигналов счетчика. Сохранить схему в файле.

3.2. Оценить время установления кода для счетчика, используя значение среднего времени задержки одного триггера 120 нс.

3.3. Произвести синтез недвоичного счетчика с параллельным переносом на основе триггеров заданного типа по следующим вариантам:

вариант 1 - суммирующий, коэффициент счета 10, RS-триггер с прямыми входами;

вариант 2 - вычитающий, коэффициент счета 10, JK-триггер;

вариант 3 - суммирующий, коэффициент счета 12, JK-триггер;

вариант 4 - вычитающий, коэффициент счета 12, D-триггер;

вариант 5 - суммирующий, коэффициент счета 15, D-триггер;

вариант 6 - вычитающий, коэффициент счета 15, RS-триггер с прямыми входами.

3.4. Реализовать схему синтезированного недвоичного счетчика с параллельным переносом. Подключить к входу счетчика генератор слов. Входные и выходные сигналы счетчика подать на компоненты индикации и на логический анализатор. Исследовать работоспособность счетчика. Проверить соответствие последовательности состояний счетчика исходной таблице переключений. Сохранить временные диаграммы для входного и выходных сигналов счетчика. Сохранить схему в файле.

3.5. Оценить время установления кода для счетчика, используя значение среднего времени задержки одного триггера 120 нс, одного логического элемента 20 нс.

4 Требования к отчету по лабораторной работе

Отчет по лабораторной работе должен содержать:

  • краткие теоретические сведения;
  • формулировку задания на лабораторную работу;
  • синтез счетчика с параллельным переносом в соответствии с заданием;
  • принципиальные схемы исследуемых счетчиков;
  • изображения схем моделирования счетчиков;
  • изображения временных диаграмм, полученных в результате моделирования счетчиков;
  • полные таблицы переключений счетчиков;
  • выводы по лабораторной работе.

5 Вопросы для подготовки

5.1. Какие цифровые устройства называются счетчиками импульсов?

5.2. Какими параметрами характеризуются счетчики импульсов?

5.3. Какие известны разновидности счетчиков импульсов?

5.4. Как влияет организация межразрядных переносов на быстродействие счетчиков?

5.5. Как определяется необходимое число триггеров в счетчике?

5.6. Как производится синтез счетчиков с параллельным переносом?

Рекомендуемая литература [1 – 5].

Исследование счетчиков импульсов