Исследование триггеров

Лабораторная работа № 2

Исследование триггеров

1 Цель работы

Изучение принципов построения триггеров. Исследование RS-триггеров, D-триггеров, T-триггеров, JK-триггеров. Взаимные преобразования триггеров. Экспериментальная проверка функционирования триггера.

2 Общие сведения

Триггеры (рис. 2.1) представляют собой простейшие конечные автоматы, имеющие два устойчивых состояния, и переходящие из одного состояния в другое под действием входных сигналов.

Рисунок 2.1. Триггер

Триггеры обладают двумя выходами, с которых снимаются взаимно инверсные выходные сигналы . Эти сигналы однозначно соответствуют нахождению триггера в одном из двух устойчивых состояний, поэтому триггер является автоматом Мура. Как и все конечные автоматы, триггеры могут быть синхронными и асинхронными. Импульсы, поступающие на вход синхронизации C, определяют момент записи в триггер входной информации с информационных входов Xc1 и Xc6. Относительно этих входов триггер является синхронным.

В то же время триггер может иметь входы асинхронной установки S и R, активные уровни сигналов на которых сразу переводят триггер в соответствующее состояние, независимо от сигнала синхронизации и сигналов на входах Xc1 и Xc6.

В зависимости от наборов входных сигналов и от способа функционирования различают RS-триггеры, D-триггеры, T-триггеры и JK-триггеры.

RS-триггер является наиболее простым по структуре. Помимо самостоятельного применения, этот триггер входит в качестве составного узла в структуры триггеров других типов. Его условные обозначения и схемы реализации приведены на рис. 6.2.

RS-триггер имеет два асинхронных входа: вход S (Set - установка) служит для установки триггера в состояние Q=1, вход R (Reset - сброс) - для установки триггера в состояние Q=0. Структура триггера представляет собой два усилителя-инвертора со входной логикой, соединенных последовательно и охваченных общей петлей положительной обратной связи. При реализации триггера на элементах ИЛИ-НЕ он имеет активные уровни входных сигналов высокие (рисунок 2.2, а), а при использовании элементов И-НЕ активные уровни входных сигналов - низкие (рисунок 2.2, б). Триггер имеет запрещенную комбинацию входных сигналов: одновременно входные сигналы R и S не могут иметь активных уровней. Логические свойства триггера отражаются таблицей переходов (табл. 2.1), в которой показаны значения выходного сигнала триггера на (k+1)-м такте работы в зависимости от значений входных сигналов и состояния на k-м такте. В этой же таблице приведены и функции переходов триггеров.

a) б)

а) триггер с прямыми входами

б) триггер с инверсными входами

Рисунок 2.2 - RS-триггеры

Таблица 2.1 - Таблица переходов RS-триггера

Вход S

Вход R

RS-триггер с

прямыми входами

RS-триггер с

инверсными входами

0

0

запрещенная комбинация

0

1

0

1

1

0

1

0

1

1

запрещенная комбинация

Функция переходов

Если каждый логический элемент в схеме триггера обладает задержкой , то полное время переключения RS-триггера .

Синхронный RS-триггер, или RSC-триггер, имеет тактовый вход C и устанавливается в новое состояние в соответствии с сигналами S и R, но только при С=1. При C=0 триггер сохраняет текущее состояние. Одновременно активные уровни сигналов на входах S и R допускаются только при C=0. Условное обозначение и схема синхронного RS-триггера, построенного на элементах И-НЕ, приведены на рис. 2.3. Логические элементы DD3 и DD4 образуют асинхронный RS-триггер, который управляется входными сигналами A и В. Эти сигналы формируются логическими элементами DD1 и DD2 из входных сигналов триггера S и R и сигнала синхронизации С. Пока С=0, сигналы А и B имеют неактивные уровни (логические единицы). Только при C=1 сигналы А и В принимают активные уровни и устанавливают RS-триггер в состояние, соответствующее входным сигналам S и R.

а) условное обозначение

б) схема триггера

Рисунок 2.3 - Синхронный RS-триггер

Функция переходов RSC-триггера получается из функции переходов RS-триггера после подстановки значений переменных A и B:

. (2.1)

Полное время переключения RSC-триггера .

D-триггеры обычно выполняются синхронными и имеют, кроме входа синхронизации C, один информационный вход D. При C=1 триггер устанавливается в состояние, соответствующее сигналу на входе D, а при С=0 триггер сохраняет текущее состояние. Таблица переходов D-триггера представлена в табл. 2.2.

Таблица 2.2 – Переходы D-триггера

C

0

1

D

Функция переходов D-триггера получается из функции переходов RSC-триггера, если в ней положить . Тогда

. (2.2)

После минимизации этого выражения, например, с помощью карты Карно, можно получить окончательно

. (2.3)

Условное обозначение D-триггера и схема его реализации приведены на рис. 2.4.

Рисунок 2.4 - Условное обозначение и схема D-триггера

D-триггеры часто выполняются двухтактными, состоящими из двух RS-триггеров - основного и вспомогательного. Условное обозначение такого триггера и его схема приведены на рис. 2.5.

Рисунок 2.5 - Условное обозначение и схема двухтактного D-триггера

Двухтактный D-триггер состоит из двух синхронных RS-триггеров RS1 и RS2. Запись информации в триггер RS1 разрешается входным сигналом синхронизации C, а в триггер RS2 - инвертированным сигналом синхронизации. При действии тактового импульса C=1 информация со входа D записывается в основной триггер RS1. При этом вспомогательный триггер RS2 заблокирован. При C=0 информация из RS1 переписывается в RS2 и появляется на выходах схемы, а входы RS1 в это время закрыты.

Отличительной чертой двухтактных триггеров является то, что триггер изменяет состояние своих выходных сигналов при изменении сигнала на входе синхронизации в определенном направлении: из «0» в «1» или из «1» в «0». В первом случае триггер имеет прямой динамический вход синхронизации, во втором - инверсный. Если динамический вход является прямым, то запись информации в основной триггер RS1 происходит по перепаду сигнала синхронизации из «1» в «0», а запись во вспомогательный триггер RS2 с одновременным установлением новых значений выходных сигналов - по перепаду сигнала синхронизации из «0» в «1». Графики переключений основного и вспомогательного триггеров для прямого и инверсного динамических входов приведены соответственно на рис. 2.6, а, б.

Рисунок 2.6. Графики переключений основного и вспомогательного триггеров для прямого (а) и инверсного (б) динамических входов

В двухтактных триггeрах полное время переключения .

JK-триггер имеет два информационных входа J и K. Он подобен RS-триггеру, но в нем исключена запрещенная комбинация входных сигналов. При одновременно активных уровнях сигналов на обоих входах JK-триггер, в отличие от RS-триггера, переходит в состояние, инверсное предыдущему. Таблица переходов JK-триггера представлены в табл. 2.3.

Таблица 2.3 – Переходы JK-триггера

J

K

0

0

0

1

0

1

0

1

1

1

Из таблицы переходов можно получить выражение для функции переходов JK-триггера:

(2.4)

JK-триггер получается из RS-триггера введением обратных связей. В функции переходов RS-триггера (табл. 2.1) сделаем подстановки:

(2.5)

Тогда

(2.6)

Полученное выражение в точности соответствует функции переходов JK-триггера. Формулы подстановок (2.5) при этом показывают способ реализации JK-триггера.

JK-триггеры обычно реализуются синхронными двухтактными, т.е. на двух RS-триггерах. Условное обозначение и схема JK-триггера приведены на рис. 2.7.

Рисунок 2.7- Условное обозначение и схема JK-триггера

Входные логические элементы И-НЕ закрываются сигналами обратных связей с выходов триггера, поэтому они работают поочередно и комбинация входных сигналов J=K=1 уже не является недопустимой. Как и в случае с двухтактным D-триггером, вход синхронизации C является динамическим, а полное время переключения триггера составляет величину .

T-триггер имеет один информационный вход Т. Каждый раз, когда на этот вход поступает уровень логической единицы, триггер переключается в состояние, противоположное предыдущему. По логике работы Т-триггер может быть только синхронным, с динамическим входом синхронизации. Таблица переходов Т-триггера представлена в табл. 2.4.

Таблица 2.4 – Переходы T-триггера

Т

0

1

Функция переходов Т-триггера имеет вид:

. (2.7)

Т-триггер может быть легко получен из D- и JK-триггеров. Условное обозначение Т-триггера и схемы его реализации приведены на рис. 2.8.


Рисунок 2.8 - Схемы реализации Т-триггера

Схема преобразования D-триггера в Т-триггер основана на том, что функция переходов синхронного D-триггера при подстановке превращается в функцию переходов T-триггера:

. (2.8)

JK-триггер при подаче на оба входа J и K логической единицы будет изменять свое состояние на противоположное по каждому тактовому импульсу, т.е. превратится в Т-триггер.

Все рассмотренные выше типы триггеров могут иметь дополнительные входы асинхронной установки в состояние 1 (вход S) и сброса в состояние 0 (вход R).

3 Программа работы

3.1. С помощью пакета моделирования электронных схем Multisim 10 реализовать схему RS-триггера на элементах И-НЕ. На входы триггера подать сигналы от двух младших разрядов генератора слов. Частоту генерации слов подобрать таким образом, чтобы смена кодовых комбинаций происходила примерно 1 раз в секунду в реальном времени. К входам и выходам триггера подключить компоненты индикации (пробники). Входные и выходные сигналы подать также на логический анализатор. Задавая все возможные комбинации входных сигналов (в том числе и запрещенную комбинацию) при разных исходных состояниях триггера, составить его полную таблицу переходов. Сохранить временные диаграммы для входных и выходных сигналов триггера. Сохранить схему в файле.

3.2. Реализовать схему синхронного RSC-триггера. Для этого использовать цифровую микросхему RS-триггера и добавить необходимые логические компоненты, чтобы в итоге на рабочем поле был представлен RSC-триггер. На вход синхронизации RSC-триггера подать синхроимпульсы от младшего разряда генератора слов, на входы R и S – сигналы со следующих старших разрядов генератора слов. К входам и выходам триггера подключить компоненты индикации (пробники). Задавая все возможные комбинации входных сигналов, исследовать работоспособность триггера. Составить полную таблицу переходов RSC-триггера. Сохранить временные диаграммы для входных и выходных сигналов триггера. Сохранить схему в файле.

3.3. Преобразовать собранный в п. 3.2 триггер в двухтактный RSC-триггер, исследовать его работоспособность. Сохранить временные диаграммы для входных сигналов триггера и выходных сигналов первой и второй ступеней. Сохранить схему в файле.

3.4. Преобразовать полученный триггер в п. 3.3 двухтактный RSC-триггер в D-триггер. На его вход D подать сигнал от второго разряда генератора слов. Задавая все возможные комбинации входных сигналов, исследовать работоспособность триггера. Составить полную таблицу переходов D-триггера. Сохранить временные диаграммы для входных и выходных сигналов триггера. Сохранить схему в файле.

3.5. Исходный двухтактный RSC-триггер, полученный в п. 3.3, преобразовать в двухтактный JK-триггер. Подать на его входы сигналы от генератора слов аналогично тому, как они подавались в п. 3.3. Задавая все возможные комбинации входных сигналов, исследовать работоспособность триггера. Составить полную таблицу переходов JK-триггера. Сохранить временные диаграммы для входных и выходных сигналов триггера. Сохранить схему в файле.

3.6. Реализовать схемы T-триггеров на основе цифровых микросхем D- и JK-триггеров в соответствии с рис. 2.8. Подать на их входы сигнал от младшего разряда генератора слов. Убедиться в работоспособности триггеров. Составить полную таблицу переходов T-триггера. Сохранить временные диаграммы для входных и выходных сигналов триггеров. Сохранить схему в файле.

4 Требования к отчету по лабораторной работе

Отчет по лабораторной работе должен содержать:

  • краткие теоретические сведения;
  • формулировку задания на лабораторную работу;
  • принципиальные схемы исследуемых триггеров;
  • изображения схем моделирования триггеров;
  • изображения временных диаграмм, полученных в результате моделирования триггеров;
  • полные таблицы переходов триггеров;
  • выводы по лабораторной работе.

5 Вопросы для подготовки

  1. Какие цифровые устройства называются триггерами?
    1. Какие триггеры называются синхронными и какие асинхронными?
    2. В чем состоят отличия синхронного RS-триггера от асинхронного?
    3. По каким схемам строятся RS-триггеры?
    4. Почему RS-триггеры имеют запрещенную комбинацию входных сигналов?
    5. Почему JK-триггеры не имеют запрещенной комбинации входных сигналов?
    6. Как можно преобразовать D- и JK-триггеры в Т-триггер?
    7. Почему в двухтактных триггерах синхронизация является динамической?

Рекомендуемая литература [1 – 5].


T

Xc21

Xc1

C

R

S

Q

_

Q

S

R

Q

S

S

R

Q

S

R

_

Q

_

Q

T

S

R

Q

Q

_

Q

_

Q

1

1

&

&

A

DD3

DD1

S

DD2

DD4

б)

а)

C

R

B

T

S

C

R

Q

_

Q

Q

_

Q

&

&

&

&

D

Q

Q

T

_

Q

_

Q

D

C

C

&

&

&

&

1

Q

_

Q

TT

D

C

Q1

Q2

__

Q2

D

C

&

&

1

T

S

R

&

&

T

S

R

1

RS2

RS1

D

t

C

t

Q1

t

Q2

t

б)

а)

D

t

C

t

Q1

t

Q2

t

Q

_

Q

TT

J

K

C

Q1

Q2

__

Q2

J

C

K

&

&

T

S

R

&

&

T

S

R

1

RS2

RS1

TT

J

Q

Q

TT

D

1

T

C

K

_

Q

_

Q

C

T

Исследование триггеров